Cadence support for OSVVM 2015.03

Why OSVVM™? Forums OSVVM Cadence support for OSVVM 2015.03

Viewing 6 posts - 16 through 21 (of 21 total)
  • Author
    Posts
  • #1051

    Hello Ahmed,

    I have changed lines with ?= operator according to the syntax you suggested (also confirmed by Cadence support):

        –if L ?= R then

        if \?=\ (L, R) then

    Anyway, I still have errors:
        if \?=\ (L, R) then             |ncvhdl_p: *E,ALTYMM (/prj/nvem/sw/SynthWorks/OSVVM@2015.06/AlertLogPkg.vhd,1715|13): subprogram call or operator argument type mismatch 87[4.3.3.2] 93[4.3.2.2].
    Also I have problem with impure function Initialize:    constant CONSTRUCT_ALERT_DATA_STRUCTURE : boolean := Initialize ;                                                                   |ncvhdl_p: *E,IPMCAL (/prj/nvem/sw/SynthWorks/OSVVM@2015.06/AlertLogPkg.vhd,1009|66): Illegal protected method call or member access as per LRM [10.3].
    As suggested by Jim, I keep posting any error hoping this help other Cadence users.Best regards.
    Alex

    #1052
    Jim Lewis
    Member

    Hi Alex,

    I still need to look into the following error message:

    Also I have problem with impure function Initialize:    constant
    CONSTRUCT_ALERT_DATA_STRUCTURE : boolean := Initialize ;                
                                                      |ncvhdl_p: *E,IPMCAL
    (/prj/nvem/sw/SynthWorks/OSVVM@2015.06/AlertLogPkg.vhd,1009|66): Illegal
    protected method call or member access as per LRM [10.3].

    I have a planned work around for this that I would like to try, it is a matter of having some time to do it.  What is happening here is a local function is calling procedure that is also a method (visible from outside).  I plan to fix it by having the local function call another local procedure and having the method call the local procedure.  This would work around any issues described in the LRM. 

    Jim

    #1059

    Hello again,

    and thanks for your support.

    Finally I was able to compile with Cadence ncvhdl almost all packages.

    AlertLogPkg was the one that required most modifications expecially because of the new operators; if anyone is interested, I can share the modified code.

    Still I have problem with CoveragePkg:

        file WriteBinFile : text ;

           |

    ncvhdl_p: *E,NOTIMP (/prj/nvem/sw/SynthWorks/OSVVM@2015.06/CoveragePkg.vhd,1221|7): Support of following construct inside protected region is not yet implemented.

    While looking for a new workaround at least I can start using the random number generation of osvvm.Best regards.
    Alex

    #1092

    *Is there a working version for CDN IUS finally please? I haven’t tried but am interested in doing so, given that we have a poster on this topic at DVCon USA 2016.

    Thanks

    Srini

    #1094

    Hello Srini,

    recently, I tried with incisive 15.10.004 but I didn’t succeeded.

    I’m in touch with CDN support and they claim they are working to provide a workaround; as soon as I have any news I’ll share here.

    Best regards.

    Alex

    #1120
    Jim Lewis
    Member

    Hi,

    I have just released a Dev_Cadence branch on the GitHub.  It has all of the issues that I know about fixed in it.  A direct link to it is here:

    https://github.com/JimLewis/OSVVM/tree/Dev_Cadence

    Please give me bug reports on this and I will try to add additional work arounds.  See the Dev_Cadence.md for what has changed. 

    Jim

Viewing 6 posts - 16 through 21 (of 21 total)
  • You must be logged in to reply to this topic.