OSVVM and Cadence Simulator

Why OSVVM™? Forums OSVVM OSVVM and Cadence Simulator

Viewing 7 posts - 1 through 7 (of 7 total)
  • Author
    Posts
  • #1481

    Hi all,

    I try using OSVVM with Cadence SimVision. I got hundreds of errors in the first place. After some googling, I say that Jim created a branch for Cadence because others had that problem as well.

    I tried the sources and they work which is great but I noticed that the branch was modified three years ago the last time and some file are missing there compared to the main development branch.

    I had to comment them out with the # in my f_file which contains all files used for the verification:

        ${DB_DIR}/design/ip/test_fw/osvvm/NamePkg.vhd
        ${DB_DIR}/design/ip/test_fw/osvvm/OsvvmGlobalPkg.vhd
        #${DB_DIR}/design/ip/test_fw/osvvm/VendorCovApiPkg.vhd
        ${DB_DIR}/design/ip/test_fw/osvvm/TranscriptPkg.vhd
        ${DB_DIR}/design/ip/test_fw/osvvm/TextUtilPkg.vhd
        ${DB_DIR}/design/ip/test_fw/osvvm/AlertLogPkg.vhd
        ${DB_DIR}/design/ip/test_fw/osvvm/MessagePkg.vhd
        ${DB_DIR}/design/ip/test_fw/osvvm/SortListPkg_int.vhd
        ${DB_DIR}/design/ip/test_fw/osvvm/RandomBasePkg.vhd
        ${DB_DIR}/design/ip/test_fw/osvvm/RandomPkg.vhd
        ${DB_DIR}/design/ip/test_fw/osvvm/CoveragePkg.vhd
        ${DB_DIR}/design/ip/test_fw/osvvm/MemoryPkg.vhd
        #${DB_DIR}/design/ip/test_fw/osvvm/ScoreboardGenericPkg.vhd
        #${DB_DIR}/design/ip/test_fw/osvvm/ScoreboardPkg_slv.vhd
        #${DB_DIR}/design/ip/test_fw/osvvm/ScoreboardPkg_int.vhd
        #${DB_DIR}/design/ip/test_fw/osvvm/ResolutionPkg.vhd
        #${DB_DIR}/design/ip/test_fw/osvvm/TbUtilPkg.vhd
        ${DB_DIR}/design/ip/test_fw/osvvm/OsvvmContext.vhd

    Now my question is if there are any disadvantages by using Cadence. Because I cannot change the simulator we use in our department I would have to look for a different verification methodology.
    So will the Cadence branch ever be reintegrated in the main development branch or the other way round: the main development branch will be integrated into the “special” Cadence branch?
    I hope that this will be the case else OSVVM would not be suitable for me for this project. 🙁

    And another thing which is not directly related to the above topic is which library I have to use. First I tried to compile the files into a library called OSVVM, but then I saw that most of the files use the default library work except 2 or 3 files. So I really got confused about the libraries.

    Thanks in advance for your replies!
    Kind regards
    Sebastian

    #1483
    Jim Lewis
    Member
    Hi Sebastian,
    The Cadence branch was not integrated as at the time we got it close to compiling, but it still had issues.   It is good to hear that you tried it and it worked.  
    I don’t know Cadence’s product line too well.  Is SimVision Cadence’s newest simulator?   I heard Cadence was only going to support protected types in the newest/newer products.
    If the old branch works and runs in simulation, I can probably get the current branch running.   However, since I do not have their tools, I would need someone willing to test it.
    Best Regards,
    Jim
    #1484

    Hi Jim,

    thank you very much for your fast reply. We use Cadence Incisive Enterprise Simulator with SimVision (Version 17.10-s003).

    I’m at the beginning of using OSVVM but at least I can test if your provided files will be compiled.

    Kind regards

    Sebastian

    #1485
    Jim Lewis
    Member

    Hi Sebastian,

    I was just reviewing my previous contact with Cadence.   Last year they said that you need to upgrade to Xcelium Single-Core.  

    I sent them an email to check if that is still the case.   Happy to chase after Incisive if they have added protected type support.   However, time is sparse so I want to make sure they expect us to be successful with Incisive.

    Best Regards,

    Jim

    #1486

    Hi Jim,

    first I’d like to point out that I really appreciate your fast, competent and nice help!

    I was able to compile all the files you provided in your old branch. Furthermore I was able to instantiate my first RandomType and made random values. This was really very easy and absolutely no problem.

    So thanks you very much for the OSVVM and I’m looking forward to use it in the near future.

    Best regards

    Sebastian

    #1487
    Jim Lewis
    Member

    Hi Sebastian,

    Cadence says that the version you list is Xcelium.   That is good news.   They said they have a few bug fixes and will be continuing their internal testing after the fixes are entered.

    In the mean time, please email me your compile errors on the main branch and I will see if we can adopt some of the changes in the older Cadence branch.  Note that some of them were compromises to get it to compile and are not necessarily things that should be integrated into the master/release branch.   OTOH, OSVVM has often had work arounds in it for other vendors.

    Jim

    #1491

    Hi Jim,

    I mailed the log files to your Synthworks Mail.

    Kind regards

    Sebastian

Viewing 7 posts - 1 through 7 (of 7 total)
  • You must be logged in to reply to this topic.