Reply To: OSVVM and Cadence Simulator

Why OSVVM™? Forums OSVVM OSVVM and Cadence Simulator Reply To: OSVVM and Cadence Simulator

#1484

Hi Jim,

thank you very much for your fast reply. We use Cadence Incisive Enterprise Simulator with SimVision (Version 17.10-s003).

I’m at the beginning of using OSVVM but at least I can test if your provided files will be compiled.

Kind regards

Sebastian