Reply To: State transitions as coverage bins

Why OSVVM™? Forums OSVVM State transitions as coverage bins Reply To: State transitions as coverage bins

#1220
Jim Lewis
Member

Hi Jorge,

Your approach looks good.  Some how you need to capture which arch is followed and make sure you have a bin for all possible archs in each state.   How you represent it will vary from statemachine to statemachine.  For machines like the JTAG controller, it uses the same basic inputs to each state.   It may be more interesting when there is a larger set of inputs, particularly when an input is only used in a particular state and not others.  

In addition to what you propose, you can recreate the entire statemachine input space within the coverage model.  Each input then would be converted from a bit/std_ulogic value to integer (0 or 1).  If an input is not used in a particular state, its value can be represented by ALL_BIN or GEN_BIN(0,1,1). 

Best Regards,

Jim