Reply To: Support for large SLV/signed/unsigned?

Why OSVVM™? Forums OSVVM Support for large SLV/signed/unsigned? Reply To: Support for large SLV/signed/unsigned?

#436
Jim Lewis
Member

Hi Andy,

Good catch.  ðŸ™‚

Issue:  RandSlv, RandUnsigned, RandSigned all use RandInt and use integer inputs to specify the range, hence, going beyond 31 bits unsigned or 32 bits signed is not possible.

WRT seeds, I think we are ok.  My understanding is that if the seed produces a independent random value, then every Nth draw from the randomization function is also an independent random value.  

The range inputs need to be based on the return type.  Something more practical with VHDL-2008 bit string literal extensions.

If you write them, I will make sure they get added.  

Jim