coverage in rtl

Why OSVVM™? Forums OSVVM coverage in rtl

Viewing 2 posts - 1 through 2 (of 2 total)
  • Author
    Posts
  • #921
    raphael H
    Member

    Hi,

    So far I just looked at the webinar from Aldec.

    I was wondering if the coverage package is supposed to be used only in test-benches (All the examples is the user guide are in entity called tb….) or if the methodology allows adding coverage code in an RTL design to check coverage without affecting the synthesis of that design.

    thanks,

    raph

    #937
    Jim Lewis
    Member

    Hi Raph,

    Currently there are no metacomments (– Synthsis off) to allow usage during synthesis.   Not sure if it would work or not since it does require the methods of the protected type to be visible.  

    I have instead used VHDL-2008 external names to reach down into the design from the testbench level. 

    Best Regards,

    Jim

Viewing 2 posts - 1 through 2 (of 2 total)
  • You must be logged in to reply to this topic.