Reply To: Question to the OSVVM community: how to approach the methodology, learning curve

Why OSVVM™? Forums OSVVM Question to the OSVVM community: how to approach the methodology, learning curve Reply To: Question to the OSVVM community: how to approach the methodology, learning curve

#1624
Jim Lewis
Member

To answer your questions:
1. How much time is needed?
In an instructor led class, you can learn OSVVM is 5 days. With our on-line classes, this translates to 10 on-line sessions – each session is approximately 2.5 hours of lecture (currently done via GoToMeeting) and 2.5 hours of lab (done on your own with support via email, phone, and GoToMeeting (as needed)).

2. Amount of time to develop missing Verification IP (eg. AXI4 Full)?
That will vary with the complexity of the interface. That said, the OSVVM AXI Lite model is well structured to be updated to AXI Full. Given time, I have plans to expand the model towards an AXI FULL model – in particular I intend to add burst capability. My opinion is that even simple transaction models should also handle burst type transactions – even if that means on the interface does not support it a burst can be broken down into individual address and data transactions. Hence, a burst is a convenient testbench programming method.

3. How to handle a DUT that is a DSP algorithm?
The more you intend to do in VHDL, the more OSVVM will help. In your case where test vectors are read from a file and then applied to the DUT, OSVVM will allow you to compare the results while the VHDL testbench is running. This would allow you to stop when an error occurs and debug from there.

4. What simulators are supported?
OSVVM is tested on a current release of Aldec RiveraPRO and Mentor QuestaSim. We also regularly run regressions against ActiveHDL. It is our current understanding that Synopsys VCS and Cadence Xcelium both support OSVVM as does the open source simulator GHDL. I have not run regressions on these though. However, I am planning to do this.

Even the ModelSim Altera edition (the free version) can run “class” sized examples of OSVVM.

If you are working with AXI I would start by watching our webinar (if you have not already done so) Creating an AXI4 Lite, Transaction Based VHDL Testbench with OSVVM.

Next, at the end of the webinar, it walks you through the steps of loading OSVVM and running the scripts, so do this in your simulator. While I ran these scripts on RiveraPRO, the scripts run exactly the same way in ModelSim/QuestaSim. At the end of the day, it is my intent to expand the scripts so they run on the tools that support OSVVM – either as TCL or if necessary also as BASH.