Reply To: Questasim Version

Why OSVVM™? Forums OSVVM Questasim Version Reply To: Questasim Version

#1908
Jim Lewis
Member

You should be able to use OSVVM with any QuestaSim version. If you are using 10.5 or beyond, there are no known issues.

Which version are you using? Are you having any problems? Have you run the OSVVM test suite and experienced any problems with QuestaSim?

I test with QuestaSim 2020.04. I have noticed some irregularities with some QuestaSim versions, but it is not related to OSVVM and there is nothing in OSVVM I could change to work around the irregularities.

The issues I have seen seem to have to do with QuestaSim treating an impure function call as pure, and hence, optimizing it by calling the function only once, rather than each time in an iteration. The solution is generally simple too. Assign the output of the impure function call to a variable and then use that variable instead of the function call and all is well.

If you are running into issues with specific code, I would be happy to look at it. I am pretty good at finding root cause and getting a work around.

The issues also tend to be unstable. In 2021.09, I changed some of the UART testbench code so it did not cause problems for QuestaSim, but did not have to change all of the testbenches and several of them had code identical to the code that caused the issue.

I have offered Siemens to use the OSVVM test suite in their regressions (it is open source) and have also offered our private test suite for the utility library.