Reply To: Learning OSVVM with AXI4

Why OSVVM™? Forums OSVVM Learning OSVVM with AXI4 Reply To: Learning OSVVM with AXI4

#2253
Jim Lewis
Member

Hi Joseph,
A pro file is tcl plus a procedure abstraction layer that replaces the calls to vlib, vmap, vcom, vlog, and vsim with library, LinkLibrary, analyze, analyze, and simulate (respectively).

Sounds like your do file is fairly complicated. Not sure if you can successfully transition it or not. However, here is how to try to do it:
replace vlib with library
replace vmap with LinkLibrary (or library)
replace vcom and vlog with analyze
replace vsim with simulate
start your pro script with build (replacing do or source)
within a pro script call other pro scripts with include (replacing do or source)

You only need to do the above for the part that you want to run coverage on needs to use analyze and simulate. Since pro scripts are tcl, it is ok to mix do scripts with pro scripts.

Generally analyze and simulate do not need options like language version (because you set it once and forget it) and library (since it remembers the setting from the previous library command). That said then, if vcom, vlog, or vsim use a -work or -lib option, be sure that the last OSVVM library command referenced that library.

There are additional benefits to going this path. By running your simulation with OSVVM’s simulate and starting the script with build (rather than do or source), you will be able to get OSVVM’s reporting. For details on this see: https://osvvm.github.io/Overview/Osvvm3Reports.html.

For more on OSVVM scripting see Script_user_guide.pdf in the OsvvmLibraries/Documentation directory.

Jim