Reply To: Running AXI4 Simulation from OSVVM Libraries repository

Why OSVVM™? Forums OSVVM Running AXI4 Simulation from OSVVM Libraries repository Reply To: Running AXI4 Simulation from OSVVM Libraries repository

#2409
Jim Lewis
Member

Getting back to your question again, if the test cases are compiled, OSVVM can do

LinkLibraryDirectory      ; # Make libraries in the OSVVM library directory visible - not necessary after a build
ListLibraries              ; # Lists the libraries OSVVM knows about.
vdir -lib <libraryName>    ; # Questa/ModelSim & RivieraPRO/ActiveHDL
library <libraryName>      ; # make libraryName the current working library - not need if it is already
simulate <designUnitName>  ; # run the configuration name

VUnit has a more sophisticated capability than this as I think it looks at VHDL files, but again, I don’t really have a use model for this.