Reply To: Running AXI4 Simulation from OSVVM Libraries repository

Why OSVVM™? Forums OSVVM Running AXI4 Simulation from OSVVM Libraries repository Reply To: Running AXI4 Simulation from OSVVM Libraries repository

#2412
Hassan
Member

OK, so here is how I am able to run specific test.

First I goto a suitable location where QuestaSim can save its generated files. In this case I did

cd {E:\My_Designs\FPGA\OsvvmLibraries}

Then I ran the following commands:

source ./Scripts/StartUp.tcl
include ./osvvm/osvvm.pro
include ./Common/Common.pro
include ./AXI4/AXI4.pro

library osvvm_TbAxi4
RunTest  TbAxi4_DemoMemoryReadWrite1

The StartUp.tcl is important since it basically loads the TCL commands that are used by other scripts, into the simulation environment. The next three include commands are compiling files into specific libraries. All three commands are running prexisting scripts that compile files from specific locations. I do not understand how the library command suddenly creates a new library that is full of precompiled files since the earlier scripts did not compile them. This is a bit wierd. But anyway, then I am able to run a specific test called TbAxi4_DemoMemoryReadWrite1.

The TbAxi4_DemoMemoryReadWrite1 contains axi4manager, axi4monitor, axi4memory along with the TestCtrl entity that has the configuration to compile the TbAxi4_DemoMemoryReadWrite1 test sequence architecture.

Since I am using QuestaSim, I am able to restart the test and run it again by using:
restart -f; run -all;

If I need any files to be compiled again like the testbench or DUT files, I guess I could just recall the AXI4.pro again or run the analyze command on the specific file. It seems that the analyze command is created by the OSVVM via the StartUp.tcl to compile source files.