Reply To: Cadence support for OSVVM 2015.03

Why OSVVM™? Forums OSVVM Cadence support for OSVVM 2015.03 Reply To: Cadence support for OSVVM 2015.03

#1045

Hi Alex,

Well, it took me some time to achieve this step using Cadence flow.

Cadence has a very poor support for VHDL-08, therefore, you will need to do some changes in package code in order to get it to compile.

1. Compile in the order which Jim stated in his first post

2. Compile it to a certain library, e.g., osvvm and then use the library clause within your test-bench (library osvvm; use osvvm.xxx.all;)

3. If you are still getting the error message, then change the logical functions for reduction to or/and/xor/xnor_reduce since Cadence only support this command

At the end I gave up on Cadence, I hope they would support VHDL-08 more.

Regards.