Reply To: Dynamic memory allocation for random stimulus

Why OSVVM™? Forums VHDL Dynamic memory allocation for random stimulus Reply To: Dynamic memory allocation for random stimulus

#1028
Jim Lewis
Member

Hi Louis,
I suspect your concerns are tool specific, so you will want to report it as a bug to your simulator vendor. 

For this type of checking, I use a scoreboard.  Basically a driver puts the value it sent into the checkers scoreboard and when the checker receives a value, it checks it and the item is no longer stored.  Hence, there is no need to keep the information around any longer.

Jim