Reply To: failure to compile a Scoreboard in Modelsim DE using osvvm 2018.04

Why OSVVM™? Forums OSVVM failure to compile a Scoreboard in Modelsim DE using osvvm 2018.04 Reply To: failure to compile a Scoreboard in Modelsim DE using osvvm 2018.04

#1467
Jim Lewis
Member
Hi Barry,
A package instance creates a package.   For example, for integer, all you need is:
use std.textio.all ;
library ieee ;
  use ieee.std_logic_1164.all ;
  use ieee.numeric_std.all ;

package ScoreBoardPkg_int is new work.ScoreboardGenericPkg
  generic map (
    ExpectedType        => integer, 
    ActualType          => integer, 
    Match               => "=", 
    expected_to_string  => to_string, 
    actual_to_string    => to_string   
  ) ; 

Hence, the “wrapper package” in your example is both extra work and problematic.  Remove it and if you don’t have other errors you should be fine.

Best Regards,

Jim