Reply To: OSVVM 2015.03 problem pure function GenRandSeed calls impure procedure Alert

Why OSVVM™? Forums OSVVM OSVVM 2015.03 problem pure function GenRandSeed calls impure procedure Alert Reply To: OSVVM 2015.03 problem pure function GenRandSeed calls impure procedure Alert

#975
Jim Lewis
Member

Hi Olof,

I will change it in the next release (either May or June) if it does not break any of the other supported simulators.  Currently I test with ModelSim/QuestaSim and ActiveHDL/RivieraPro.  

I will also talk to the GHDL folks about their implementation and pure subprograms.  I will have to look at the LRM as the intent of a pure function is that since it accesses an internal, it may return a different value on different executions.  Maybe that applies here since the alerts cause fileIO and cause variables internal to the Alert structure to change.  

Whether GHDL is right or not about the usage issue, as long as the change does not impact other tools, I will make it – OSVVM is about things working.

Jim