Reply To: OSVVM and Cadence Simulator

Why OSVVM™? Forums OSVVM OSVVM and Cadence Simulator Reply To: OSVVM and Cadence Simulator

#1487
Jim Lewis
Member

Hi Sebastian,

Cadence says that the version you list is Xcelium.   That is good news.   They said they have a few bug fixes and will be continuing their internal testing after the fixes are entered.

In the mean time, please email me your compile errors on the main branch and I will see if we can adopt some of the changes in the older Cadence branch.  Note that some of them were compromises to get it to compile and are not necessarily things that should be integrated into the master/release branch.   OTOH, OSVVM has often had work arounds in it for other vendors.

Jim