Reply To: OSVVM in Xilinx Vivado giving erros

Why OSVVM™? Forums OSVVM OSVVM in Xilinx Vivado giving erros Reply To: OSVVM in Xilinx Vivado giving erros

#1331
Jim Lewis
Member

Hi

OSVVM requires a simulator to support a VHDL-2002 feature called protected types.   It also requires some VHDL-2008 support.    Did you turn on the VHDL-2008 switch?  If you did, make sure to file a bug report with Xilinx.

For a free simulator that does support OSVVM, you can use the Aldec tools that come with lattice, ModelSim that comes with Altera or MicroSemi, or GHDL.

Best Regards,

Jim