Reply To: Patch: Support for random integer_vector

Why OSVVM™? Forums OSVVM Patch: Support for random integer_vector Reply To: Patch: Support for random integer_vector

#642
Jim Lewis
Member

Do you think we should add the option of generating Size number of unique integers?  SortListPkg_int has a sort, should we make it visible in RandomPkg?   I can add an alias?  Perhaps also need reverse sort.

Do we need to do the same thing for real_vector?

On my list for the next revision is RandTime.

Jim