Reply To: Reporting ignored bins

Why OSVVM™? Forums OSVVM Reporting ignored bins Reply To: Reporting ignored bins

#1394
Jim Lewis
Member

Hi Roger,
I just did a code review regarding AtLeast.  Looking at the code, the AtLeast value is the maximum of the one specified by AddBins (the way I usually show to enter it) and the value specified by GenBin (also specified by a first integer value – but then also requires at least 4 parameters be used).   Hence, if you specify both, you may be able to get a value of 0 into the model as it is.

For getting at bin values, see GetBin.

Best Regards,
Jim