Reply To: State transitions as coverage bins

Why OSVVM™? Forums OSVVM State transitions as coverage bins Reply To: State transitions as coverage bins

#1191
Jorge Tonfat
Member

Hello again!

I just found a blog entry from Aldec that solves my doubt

If anybody is interested, here is the link: 

https://www.aldec.com/en/company/blog/71–my-first-example-with-os-vvm-coveragepkg

Maybe this type of example should be included in the OSVVM examples

Regards,

Jorge