Reply To: Using types defined in generic package for entity ports

Why OSVVM™? Forums VHDL Using types defined in generic package for entity ports Reply To: Using types defined in generic package for entity ports

#1172
Torsten
Member

One possible work around I found at stack overflow is to use generic types in the entity ports list and to instantiate the generic package with the types included before instantiation of the entity in the architecture: https://stackoverflow.com/questions/16282435/generic-records-vhdl2008

Have to try that with Modelsim later 🙂