How to compile correctly the ScoreboardGenericPkg and Friends?

Why OSVVM™? Forums OSVVM How to compile correctly the ScoreboardGenericPkg and Friends?

Tagged: 

Viewing 5 posts - 1 through 5 (of 5 total)
  • Author
    Posts
  • #1302
    fdominguez
    Member

    Hi all,

    I’m using the scoreboard package which I declare:

    library osvvm;context osvvm.OsvvmContext;use osvvm.ScoreBoardPkg_slv.all;

    The simulation works, however while it was compiling the following WARNING occurs:
    WARNING – D:\wb_vhdl\spk\test\volume_modulator_tb.vhd: failed to find a primary design unit ‘scoreboardpkg_slv’ in library ‘osvvm’.

    If I use the ScoreboardGenericPkg, I have the ERROR:
    ** Error: D:\wb_vhdl\spk\test\volume_modulator_tb.vhd(27): A member of an uninstantiated package is referenced outside the scope of the package.

    How can I solve this?? What am I doing wrong?
    I’m using VUnit with Intel/Altera Modelsim.

    #1303
    Jim Lewis
    Member

    Hi Fernando,

    Are you using the ModelSim precompiled library or did you get the OSVVM library from here or GitHub and compile the OSVVM library using osvvm.do from the release?

    Best Regards,

    Jim

    #1304
    fdominguez
    Member

    I’m using VUnit to include the libraries and then compile the libraries. I add a command in python script to include OSVVM and the I execute the script.

    Regards,

    #1305
    Jim Lewis
    Member

    Your message is telling you that ScoreboardPkg_slv is not compiled into the OSVVM library.   You should be able to bring up ModelSim and look at the library and see if you agree.  

    Then also look and see if it is in your working library (sometimes named work, but it is the library into which you are compiling).   Maybe it puts package instances of ScoreboardGenericPkg in your working library instead.    If you find it in your working library, simply change the library reference to work.

    #1311
    fdominguez
    Member

    Ok Jim, I see your point. Actually, it is compiled as an independent library of OSVVM just like others. It works, but I didn’t know why the warning!

    Thanks for your help,

    Regards,

Viewing 5 posts - 1 through 5 (of 5 total)
  • You must be logged in to reply to this topic.