Activity
-
Jim Lewis wrote a new post 3 years, 4 months ago
Improve your verification capabilities with Open Source VHDL Verification Methodology (OSVVM).
OSVVM simplifies your FPGA and ASIC verification tasks by providing utility and model libraries. Using these free, […]
-
JJ became a registered member 3 years, 4 months ago
-
Thomas became a registered member 3 years, 4 months ago
-
Gianico replied to the topic AxiStreamReceiver: Multiple Drivers on Transaction Record. in the forum OSVVM 3 years, 4 months ago
Hello Jim!
Thank you very much for the very informative response.
We will apply what we have learned.
Best regards,
Nico -
Jim Lewis replied to the topic AxiStreamReceiver: Multiple Drivers on Transaction Record. in the forum OSVVM 3 years, 4 months ago
Hi Gianico,
Each AxiStreamReceiver needs its own transaction record. In the OSVVM testcases, we are using a single record named StreamRxRec. You need separate ones, such as StreamRx1Rec and StreamRx2Rec.There is an example of this done with the Axi4 interface. See directory OsvvmLibraries/AXI4/Axi4/testbench_MultipleMemory. In there see the…[Read more]
-
omaima became a registered member 3 years, 4 months ago
-
Gianico started the topic AxiStreamReceiver: Multiple Drivers on Transaction Record. in the forum OSVVM 3 years, 4 months ago
Hello!
I would like to consult this matter.
Currently we are involved in a project where our testbench has 2 AXI4 Stream Receiver VCs. This is due to the DUT has 2 output image streams to be checked.
In the Testcase, there are 2 processes for each instance of AXI4 Stream Receiver VC. The 2 processes are running in parallel. As a result we are…[Read more]
-
Jens became a registered member 3 years, 4 months ago
-
Panagiotis became a registered member 3 years, 4 months ago
-
Ashok replied to the topic How does genbin work? in the forum OSVVM 3 years, 4 months ago
Jim, Thanks very much. Wasn’t aware of all the documents available. Going through the documents to get better understanding.
-
Jim Lewis replied to the topic How does genbin work? in the forum OSVVM 3 years, 4 months ago
First, you are using the older, protected type based API. You might want to consider using the newer, singleton based API – it is simpler and does not require the test writer to use protected types (they are hidden internal to the singleton).
If you look at the document, OsvvmLibraries/Documentation/CoveragePkg_user_guide.pdf, you will find…[Read more]
-
Mark became a registered member 3 years, 4 months ago
-
Ralf became a registered member 3 years, 4 months ago
-
ED-DEBABE became a registered member 3 years, 4 months ago
-
Dominik became a registered member 3 years, 4 months ago
-
Ashok started the topic How does genbin work? in the forum OSVVM 3 years, 4 months ago
Brand new to OSVVM. Familiar with SystemVerilog functional coverage language.
Here’s a sample code. Question follows the code.
library OSVVM;
use OSVVM.CoveragePkg.all;architecture
signal op_code : std_logic_vector(2 downto 0);
signal mode : std_logic_vector(1 downto 0);
…
shared variable cp_opcode: CovPType;
shared variable…[Read more] -
Aritz became a registered member 3 years, 4 months ago
-
Ronald became a registered member 3 years, 4 months ago
-
nancy became a registered member 3 years, 4 months ago
-
Steve became a registered member 3 years, 5 months ago
- Load More