Reply To: Running example test-benches with GHDL

Why OSVVM™? Forums OSVVM Running example test-benches with GHDL Reply To: Running example test-benches with GHDL

#1833
Jim Lewis
Member

I am running GHDL version: GHDL 2.0.0-dev (1.0.0.r292.g3807826b) [Dunoon edition]
This is from one of the nightly builds. All of 2021.06 compiles. All of 2021.06 simulates except Axi4Lite – Axi4 Full was updated to work with GHDL – so you can use that instead. The Axi4Lite updates will be coming later this year.