Reply To: Vivado simulator and OSVVM

Why OSVVM™? Forums OSVVM Vivado simulator and OSVVM Reply To: Vivado simulator and OSVVM

#1839
Jim Lewis
Member

WRT OSVVM and xsim/Vivado. Here is the current update (July 2021):
I compiled all files on 2021.1. That was momentary good news. However, some packages do not work yet in simulation. In particular, AlertLogPkg. It needs a deep dive into root cause like I did for the AXI verification components in GHDL. It worked well in GHDL since I could find one blocking issue. Submit it and then get an update (worst case was 4 days). Some things I could work around, so I worked around them and ignored the root cause. I have offered to do the same with Xilinx. I have someone there checking to see if they can connect me with the developers – as that is really what it takes to get the job done. Waiting to hear back at this point.

I am optimistically hopeful.

I should note that OSVVM works well with the Intel/Altera modelsim tools.

Sorry it took me so long to reply. Working on the 2021.07 release.