Vivado simulator and OSVVM

Why OSVVM™? Forums OSVVM Vivado simulator and OSVVM

Viewing 3 posts - 1 through 3 (of 3 total)
  • Author
    Posts
  • #1837
    Edward Heim
    Member

    Hi

    I’m trying to run a test bench that I’ve successfully run in Riviera in Vivado 2020.3. Even with the test bench compiled with VHDL 2008, the Vivado simulator doesn’t seem to support OSVVM packages.

    Past posts that I’ve found on the forum re issues in Vivado are a few years old. Does anyone have updated experience?

    Thanks, Edward

    #1839
    Jim Lewis
    Member

    WRT OSVVM and xsim/Vivado. Here is the current update (July 2021):
    I compiled all files on 2021.1. That was momentary good news. However, some packages do not work yet in simulation. In particular, AlertLogPkg. It needs a deep dive into root cause like I did for the AXI verification components in GHDL. It worked well in GHDL since I could find one blocking issue. Submit it and then get an update (worst case was 4 days). Some things I could work around, so I worked around them and ignored the root cause. I have offered to do the same with Xilinx. I have someone there checking to see if they can connect me with the developers – as that is really what it takes to get the job done. Waiting to hear back at this point.

    I am optimistically hopeful.

    I should note that OSVVM works well with the Intel/Altera modelsim tools.

    Sorry it took me so long to reply. Working on the 2021.07 release.

    #1841
    Edward Heim
    Member

    Thanks Jim. I appreciate the information. Initially I only need RandomPkg so I’ll try to compile the files myself.

    Best regards, Edward

Viewing 3 posts - 1 through 3 (of 3 total)
  • You must be logged in to reply to this topic.