Reply To: Running example test-benches with GHDL

Why OSVVM™? Forums OSVVM Running example test-benches with GHDL Reply To: Running example test-benches with GHDL

#1840
Jim Lewis
Member

Are you running Ubuntu with the GCC build? Try either the mcode or lvvm. Unai setup CI for OSVVM. Prior to this I was testing OSVVM only on Windows 10 with 64 bit llvm. In testing we found that Ubuntu with GCC is not working for some items. I have not looked at the bugs, however, since it works for Ubuntu with mcode and lvvm and widows 10 with 32bit mcode and 64 bit llvm, I suspect it is something that GHDL needs to address.

You can see the CI results at: https://github.com/OSVVM/OsvvmLibraries/actions

The scripts do not currently at save .ghw waveforms. I would accept a pull request for this on GitHub if you know the options that are needed with the scripts.

Thanks for the update on AXI terminology. I had updated ours before they updated theirs. In fact, I submitted a bug against their documentation requesting a change.

I will get the names updated shortly to match theirs – although they picked poorly. I looked for names that were used naturally in the description, hence, I would have come up with initiator (nice as it matches PCI) and responder (matching what we initially picked for OSVVM). They got stuck in the same conundrum we did when a group of Open Source people talked about the naming – we were looking for synonyms of the current terminology – this results in names that do not come naturally – oh well.