Reply To: Running example test-benches with GHDL

Why OSVVM™? Forums OSVVM Running example test-benches with GHDL Reply To: Running example test-benches with GHDL

#1843
Jim Lewis
Member

Found it!

Apparently the mcode version of GHDL errors out if you subtract 1 from an uninitialized integer.
All other simulators are ok with this. OTOH, it was easy enough to fix. So there is
now a fix in the 2021.07 release. It is on GitHub now. It will be on OSVVM.org
shortly.

I have plans to work on the regression scripting so we will have a summary of pass/fail
on all tests coming out of the regression suite.

Thanks for the issue report. My goal is to have OSVVM working on all VHDL simulators.