Reply To: OSVVM and Cadence Xcelium

Why OSVVM™? Forums OSVVM OSVVM and Cadence Xcelium Reply To: OSVVM and Cadence Xcelium

#1848
Steve
Member

Hi Jim,

Thanks for the update! I am now using Xcelium 21.03.007, and the documentation for this version says that OSVVM (utility library only) with tag 2020.12 is pre-packaged. Previously, the documentation referenced tag 2018.04. Also, back in January of this year, I got in contact with a rep at Cadence regarding plans to support all parts of the OSVVM library, and he was not aware that there was more than just the utility library. He told me he would put in JIRA tickets for the updates to include the remaining parts of the library.

Overall, things seem promising!

Thanks for all your hard work! Keep it up!