Reply To: Compiling UART testbench.pro in Questa

Why OSVVM™? Forums OSVVM Compiling UART testbench.pro in Questa Reply To: Compiling UART testbench.pro in Questa

#1869
Jim Lewis
Member

Hi Graeme,
You need to do
build ../UART/testbench/testbench.pro

In OSVVM, build and include use a path reference relative to the script they are running.
Hence, in testbench.pro, it just says analyze TestCtrl_e.vhd. The path to testbench.pro gets
automatically prepended onto the file name. Hence, when writing scripts we only need to know
the path to the files from the script.

Why build vs include? build establishes a log point. If you look in the logs directory, for each build you run there will be a log file. In the next release there will also be some test completion files in your sim_dir. Generally speaking, I use build to start a regression run and establish a single log file, and then include on the inside to assemble the pieces of the regression run.

do (EDA tools) and source (tcl) run relative to the current directory the simulator
is in – your sim_dir. So to use those, one is stuck passing in a path variable. Which
requires the author of scripts to work much harder to get something that can run and
others can read.

You gave me a start for a minute. I had run 2021.02, but not regularly since it had
a few issues. So I was regularly running 2020.10. I just installed 2021.04.
So I think maybe tonight is a good night to run complete regressions against it.

Cheers,
Jim