Reply To: OSVVM and Cadence Xcelium

Why OSVVM™? Forums OSVVM OSVVM and Cadence Xcelium Reply To: OSVVM and Cadence Xcelium

#1965
Steve
Member

Hi Jim,

Thanks again for everything you do! I am just following up regarding full OSVVM support in Xcelium. Xcelium 22.03 was just released. It includes support for VHDL-2019 conditional analysis (pleasant surprise!) along with additional support of VHDL-2008 language features/constructs. OSVVM 2022.01 is pre-packaged, but I believe it is still just the utility library. Do you know if at some point OSVVMLibraries (and all sub-modules thereof) will be pre-packaged with Xcelium?

Thanks again,

–Steve