Reply To: OSVVM and Cadence Xcelium

Why OSVVM™? Forums OSVVM OSVVM and Cadence Xcelium Reply To: OSVVM and Cadence Xcelium

#2111
Jim Lewis
Member

Hi Steve,
I did some testing with Cadence over the last week. Looks like the 2022.08 updates to memory pkg broke some things. On the dev branch of OSVVM, I moved MemoryPkg_c.vhd back to the 2022.06 version.

It also looks like Cadence is failing the singleton tests in CoveragePkg. I need to try to find root cause as the singleton tests in Scoreboard and MemoryPkg are working.

I also updated the scripts.

Currently the updates are on the Dev branch, but as I wrap that effort up, I will be releasing it to the main branch.

Best Regards,
Jim