Reply To: How to improve VHDL

Why OSVVM™? Forums VHDL How to improve VHDL Reply To: How to improve VHDL

#2117
fpgaphreak
Member

I still do not like the ambigious way, vectors are treated agains signals, and that there is conversion required in between a(0 downto 0) = “1” instead of using “a(0) = ‘1’). See the bram addressing regarding their write enable. This is one signal only, however one uses 0…0 instead of a signal. So VHDL could be made more tolerant for that.