Reply To: OSVVM, Questa – Fails to Compile OSVVM

Why OSVVM™? Forums OSVVM OSVVM, Questa – Fails to Compile OSVVM Reply To: OSVVM, Questa – Fails to Compile OSVVM

#2200
Jim Lewis
Member

Hi Jamie,
The working library (denoted as work in VHDL) is the library into which you are currently compiling. Hence, the OSVVM packages use the name work to reference items (use work.TranscriptPkg.all).

Currently VHDL context clauses do not allow the usage of the library work. Hence, you see the usage of OSVVM there.

Diving a little further into the usage of work, any time we expect two pieces of an IP block to always be in the same library, using the relative reference of work allows the blocks to be put in different libraries on different projects.

For more details see “Modeling for Verification” in the course notes for Essential VHDL Testbenches and Verification.

Best Regards,
Jim

P.S. For faster answers, open a new question. For some reason, I did not get a notice on this and just happened to see it.