Reply To: building issue for modelsim pe 2022.4

Why OSVVM™? Forums OSVVM building issue for modelsim pe 2022.4 Reply To: building issue for modelsim pe 2022.4

#2310
Rishi
Member

for the 10.5b — getting errors in the runDemoTests.pro
this is the first error I see in the OsvvmBuild.log:
# ** Fatal: (SIGSEGV) Bad handle or reference.
# Time: 0 ps Iteration: 0 Process: /tbaxi4memory/Manager_1/Initialize File: ../osvvm/ScoreboardGenericPkg.vhd
# Fatal error in Subprogram NewID at ../osvvm/ScoreboardGenericPkg.vhd line 2879
#
# HDL call sequence:
# Stopped at ../osvvm/ScoreboardGenericPkg.vhd 2879 Subprogram NewID
# called from ../AXI4/Axi4/src/Axi4Manager.vhd 207 Process Initialize