building issue for modelsim pe 2022.4

Why OSVVM™? Forums OSVVM building issue for modelsim pe 2022.4

Viewing 7 posts - 1 through 7 (of 7 total)
  • Author
    Posts
  • #2307
    Rishi
    Member

    I have been using a much older version of osvvm, and am now trying to update and try out the co-sim features.
    But I’m having trouble in the initial steps.
    When I try to run the build script using build ../OsvvmLibraries.pro from the sim_Modelsim2022.4 subdirectory of OsvvmLibraries, I get a bunch of error messages, with the first one being below. The other messages seem the same just for different files. I see the C:\modeltech_pe_2022.4\vhdl_src\std\standard.vhd both in Modelsim GUI and in windows explorer.

    Thanks in advance for any help.

    # Model Technology ModelSim PE vcom 2022.4 Compiler 2022.10 Oct 18 2022
    # Start time: 15:13:55 on Oct 20,2023
    # vcom -reportprogress 300 -2008 -work osvvm ../OsvvmLibraries/osvvm/OsvvmScriptSettingsPkg.vhd
    # ** Error: vhdl_src/std/standard.vhd: (vcom-1576) expecting IDENTIFIER or BODY.
    # ** Error: ../OsvvmLibraries/osvvm/OsvvmScriptSettingsPkg.vhd: (vcom-1915) Cannot load design unit “std.standard”.

    #2308
    Rishi
    Member

    I have the MODELSIM_TCL env variable set to StartUp.tcl
    but when I also tried sourcing it in modelsim pe 2022.4 — when I do, I get

    source ../scripts/StartUp.tcl
    # OSVVM Script Version: 2023.09
    # Simulator Version: ModelSim-2022.10

    not sure why it’s reporting ModelSim-2022.10 instead of 2022.4? and if that’s ok or not?

    #2309
    Rishi
    Member

    if i switch env variables (MODELSIM and MODELSIM_TCL to modelsim pe 10.5b installation), the build of the osvvm libraries seems to work ok. would like to get it to work in 2022.4 if can. is there any dependency on modelsim version for running co-sim examples or should 10.5b work ok for co-sim?

    thanks

    #2310
    Rishi
    Member

    for the 10.5b — getting errors in the runDemoTests.pro
    this is the first error I see in the OsvvmBuild.log:
    # ** Fatal: (SIGSEGV) Bad handle or reference.
    # Time: 0 ps Iteration: 0 Process: /tbaxi4memory/Manager_1/Initialize File: ../osvvm/ScoreboardGenericPkg.vhd
    # Fatal error in Subprogram NewID at ../osvvm/ScoreboardGenericPkg.vhd line 2879
    #
    # HDL call sequence:
    # Stopped at ../osvvm/ScoreboardGenericPkg.vhd 2879 Subprogram NewID
    # called from ../AXI4/Axi4/src/Axi4Manager.vhd 207 Process Initialize

    #2311
    Jim Lewis
    Member

    Hi Rishi,
    The issue with 2022.4 (quarter or release in 2022 not sure) / 2022.10 (10 probably is month) that says:

    # ** Error: vhdl_src/std/standard.vhd: (vcom-1576) expecting IDENTIFIER or BODY.

    Is a tool install issue. OSVVM does not analyze (compile) the standard package.

    Most recently I had been testing with Questa release 2022.1. It worked well without the issues you saw in 2022.4. I am installing 2023.4 soon and will start testing with that.

    Best Regards,
    Jim

    #2312
    Jim Lewis
    Member

    Hi Rishi,
    The issue with 10.5b looks like a bug in that version of the tool. I don’t test with versions that old.

    Best Regards,
    Jim

    #2313
    Rishi
    Member

    Thanks for the reply. I’ll stick w/ the later versions of the tools then. Does Modelsim PE version in general work w/ OSVVM co-sim features or need Questa? thanks again for the feedback.

Viewing 7 posts - 1 through 7 (of 7 total)
  • You must be logged in to reply to this topic.