Reply To: Running AXI4 Simulation from OSVVM Libraries repository

Why OSVVM™? Forums OSVVM Running AXI4 Simulation from OSVVM Libraries repository Reply To: Running AXI4 Simulation from OSVVM Libraries repository

#2408
Jim Lewis
Member

As an addendum to my last comment …
Note there are shortcuts when calling the scripts via include/build. So the following are equivalent:

build $OsvvmLibraries/OsvvmLibraries.pro
build $OsvvmLibraries/OsvvmLibraries      ; # Automatically adds .pro extension
build $OsvvmLibraries                     ; # looks for OsvvmLibraries.pro or build.pro in the directory