Reply To: Generic packages, unconstrained types and access types

Why OSVVM™? Forums VHDL Generic packages, unconstrained types and access types Reply To: Generic packages, unconstrained types and access types

#1027
Torsten
Member

With older Versions of Riviera Pro on EDA Playground, I get a segmentation fault:

./run.sh: line 7: 23 Segmentation fault (core dumped) vcom ‘-2008’ design.vhd DictP.vhd testbench.vhd