Reply To: Generic packages, unconstrained types and access types

Why OSVVM™? Forums VHDL Generic packages, unconstrained types and access types Reply To: Generic packages, unconstrained types and access types

#1029
Jim Lewis
Member

Hi Torsten,
Can you send me the code at jim at synthworks dot com.   I can take a look at it to see what I can.

I have a scoreboard that does all of the above.  It works well in 10.3.  You may wish to run your test in one of the 10.3 versions.  The socoreboard model is a release candidate for OSVVM, so if you send me an email, I will send it to you.

Best Regards,
Jim