Reply To: Generic packages, unconstrained types and access types

Why OSVVM™? Forums VHDL Generic packages, unconstrained types and access types Reply To: Generic packages, unconstrained types and access types

#1030
Jim Lewis
Member

Hi Torsten,
I just remembered a work around for a problem that may apply to your situation.

In VHDL, we can do allocation of this sort of structure as either:
     TailPointer := new ListType'(Item1, Item2, Item3, NULL);
or
     TailPointer := new ListType ;
     TailPointer.Item1  := Item1;
     TailPointer.Item2  := Item2;
     TailPointer.Item3  := Item3;
     TailPointer.NextPtr   := NULL ;

I now use the second one as it seems to work around problems in some simulators.

Jim