AlertLogPkg compilation segfault with Modelsim 10.0c

Why OSVVM™? Forums OSVVM AlertLogPkg compilation segfault with Modelsim 10.0c

Tagged: ,

Viewing 2 posts - 1 through 2 (of 2 total)
  • Author
    Posts
  • #1037

    Hi, I have troubles compiling the AlertLogPkg module using vcom command of Modelsim DE 10.0c (32b). The compiler just segfaults without any details on what’s actually wrong.

     I am using the 2015.06 release of OSVVM, however the same holds for the 2015.03 release.

    Did anyone test the code with Modelsim compiler?

    <code>
    vcom -2008 -work osvvm NamePkg.vhd OsvvmGlobalPkg.vhd TranscriptPkg.vhd AlertLogPkg.vhd RandomPkg.vhd
    Model Technology ModelSim DE vcom 10.0c Compiler 2011.07 Jul 21 2011
    — Loading package STANDARD
    — Loading package TEXTIO
    — Compiling package NamePkg
    — Compiling package body NamePkg
    — Loading package NamePkg
    — Loading package NamePkg
    — Compiling package OsvvmGlobalPkg
    — Compiling package body OsvvmGlobalPkg
    — Loading package OsvvmGlobalPkg
    — Compiling package TranscriptPkg
    — Compiling package body TranscriptPkg
    — Loading package TranscriptPkg
    — Loading package OsvvmGlobalPkg
    — Loading package TranscriptPkg
    — Loading package std_logic_1164
    — Loading package NUMERIC_STD
    — Compiling package AlertLogPkg
    — Compiling package body AlertLogPkg
    — Loading package AlertLogPkg
    — Loading package ENV
    ** Fatal: Unexpected signal: 11.
    ** Error: AlertLogPkg.vhd(2192): VHDL Compiler exiting
    </code>

    *

    #1039
    Jim Lewis
    Member

    Hi Petr,

    AlertLogPkg has been tested with 10.3 and 10.4.  Currently we are recommending the usage of 10.3.   10.0 is fairly old at this point.  

    Best Regards,

    Jim

Viewing 2 posts - 1 through 2 (of 2 total)
  • You must be logged in to reply to this topic.