OSVVM on EDA playground

Why OSVVM™? Forums OSVVM OSVVM on EDA playground

Viewing 2 posts - 1 through 2 (of 2 total)
  • Author
    Posts
  • #864
    Torsten
    Member

    Since a couple of days Aldecs Riviera is available as simulator option on EDA playground, a free website of Victor EDA, where you can simulate your designs with various simulators in various languages like VHDL, (System)Verilog and others. 

    If someone is interested in trying OSVVM without a simulator installed on your own computer: now you can use OSVVM on EDA playgroundwhen you choose Aldec’s Riviera as simulator option.

    I’ve made a simple test design which you can find under: https://www.edaplayground.com/x/GkG

    Have fun 🙂

    #920
    Vishal Patel
    Member

    Thanks Torsten. This is really helpful. 🙂

Viewing 2 posts - 1 through 2 (of 2 total)
  • You must be logged in to reply to this topic.