OSVVM Questa Visualizer Support

Why OSVVM™? Forums OSVVM OSVVM Questa Visualizer Support

Viewing 3 posts - 1 through 3 (of 3 total)
  • Author
    Posts
  • #2340
    Jake
    Member

    Hello! I’ve recently started using OSVVM to good success in the last couple of months, and am using Questa version 2023.2 for simulations. Questa has a new simulator that is called visualizer. With this version of the simulator, the only difference between using visualizer and what they are calling the classic simulator is the command line arguments to vopt and vsim or just vsim (depending on the flow used, 2 step or 3 step).

    Is there a way through OSVVM’s scripting API that I can have control over the command line arguments that are passed to vopt/vsim when the simulate Tcl procedure is called?

    If this is documented somewhere and I’ve missed it, I apologize. I’m happy to be referred to documentation if this is the case.

    Thanks in advance for the help!

    -Jake Talbot

    #2341
    Jim Lewis
    Member

    Hi Jake,
    This is something that one of the Siemens AEs has talked to me about and has worked on. I will have to see if he has something ready to submit for OSVVM. The plan is that Visualizer will simply use a separate VendorScripts_Visualizer.tcl. With that it should be fairly easy to do whatever visualizer needs done as all the hooks are already there.

    If for some reason the Siemens AE does not share, we can talk and get this updated.

    Best Regards,
    Jim

    #2342
    Jake
    Member

    Jim,
    Sounds great to me. Thanks for the quick response!

    I’ll wait to hear back from you.

    Thanks again,
    Jake

Viewing 3 posts - 1 through 3 (of 3 total)
  • You must be logged in to reply to this topic.