UCIS / UCDB

Why OSVVM™? Forums OSVVM UCIS / UCDB

Viewing 6 posts - 1 through 6 (of 6 total)
  • Author
    Posts
  • #1635
    Michael
    Member

    Dear OSVVM Community,

    Do you know whether it is currently possible to generate a UCIS database / UCDB from an OSVVM verification environment?

    I am part through a large project and I’ve made the case to my supervisor that we should switch from using UVM to OSVVM. A requirement from my supervisor however is that we should be able to produce a consistant coverage report for both the existing UVM as well as the OSVVM testing (Currently UVM produces a UCDB)

    I’ve attended already an OSVVM course in Bracknell, through FirstEDA, and still have the lecture books, but can’t find relevant information. Possibly it was implemented after I did my course (2017). Should I be using the VendorCovApiPkg? Could somone perhaps provide an example?

    Many thanks,
    Michael

    #1636
    David Clift
    Member

    This is certainly possible, OSVVM contains an API package (VendorCovApiPkg.vhd) this is a set of foreign procedures that link OSVVM’s CoveragePkg coverage model creation and coverage capture with the built-in capability of a simulator.
    Aldec have created a version of this (VendorCovApiPkg_Aldec.vhdVendorCovApiPkg_Aldec.vhd) to work with their simulators Active-HDL and Riviera-PRO which both use UCDB compliant coverage databases. This package is part of the OSVVM download, but also comes pre-compiled with these simulators.
    I have used OSVVM with both Active-HDL and Riviera-PRO and the integration with the coverage database works very well, it also links with the test planning tools
    Keep safe
    David…

    #1637
    Michael
    Member

    Hi David,

    Thanks for your reply. Are you able incidentally to give an example? Perhaps I’m simply missing something obvious, but i’ve been unable to get the coverageAPI package to work. An example for use with Aldec would be greatly apreciated.

    I assume the non-Aldec CoverageApiPkg.vhd is for Modelsim/Questasim?

    I hope you and likewise the rest of FirstEDA are well.

    Regards,
    Michael

    #1638
    David Clift
    Member

    Hi Michael,

    Thank you all of FirstEDA are working from home so we have been very busy for the last few months supporting our customers.

    No CoverageApiPkg.vhd is NOT for Modelsim/Questasim it is just the place holder for the function calls that are needed to interface to a simulators coverage database. As far as I am aware Aldec is the only simulator vendor who has provided the function calls necessary for this to work.

    Hopefully Jim will confirm this
    Best Regards
    David…

    #1639
    Jim Lewis
    Member

    Hi Michael,
    Stefan Bauer out of the Mentor German office says he has written one. Last I knew, it is only available by request. I have made another inquiry about it.

    Best Regards,
    Jim

    #1651
    Michael
    Member

    Hi Jim,

    Thanks for your reply and thanks for making an inquiry with Mentor. I’ll check with my supervisor also, perhaps we can also ask our contacts at Mentor.

    Kind Regards,
    Michael

Viewing 6 posts - 1 through 6 (of 6 total)
  • You must be logged in to reply to this topic.