Viewing wave during simulation run

Why OSVVM™? Forums OSVVM Viewing wave during simulation run

Viewing 2 posts - 1 through 2 (of 2 total)
  • Author
    Posts
  • #2561
    Jeremy
    Member

    Hi Jim,

    I’m running an OSVVM simulation in Rivera Pro. My test utilizes the RunTest functions, but when I use RunTest, I have to wait until the simulation is complete to view the waveform. This doesn’t happen when I use vsim alone. I really like using RunTest since it’s excellent for generating reports.

    Right now, I’m running a lengthy simulation and I’m interested in monitoring a few FIFO signals. Is there a way to view the waveform while the simulation is still running?

    #2562
    Jim Lewis
    Member

    Hi Jeremy
    For a simulation to run fast, a general strategy is to log wave forms (SetLogSignals) and display the waves after the simulation completes (DoWaves).

    If you want to have waves displayed during the simulation, the following scripts are run (in this order) during simulate (called by simulate or by RunTest) if they exist:

    -  <ToolVendor>.tcl
    -  <ToolName>.tcl
    -  wave.do 
    -  <LibraryUnit>.tcl
    -  <LibraryUnit>_<ToolName>.tcl
    -  <TestCaseName>.tcl
    -  <TestCaseName>_<ToolName>.tcl

    Note that wave.do will not run if you are running in a batch environment (such as vsim -c in QuestaSim).
    ToolVendor is either {Aldec, Siemens, Cadence, Synopsys}.
    ToolName is one of {QuestaSim, ModelSim, RivieraPRO, ActiveHDL, VCS, Xcelium}.
    LibraryUnit is the name specified to simulate.
    TestCaseName is the name specified to TestName.

    It will search for these files in the following directories
    – OsvvmLibraries/Scripts
    – CurrentSimulationDirectory
    – CurrentWorkingDirectory

    CurrentSimulationDirectory is the normalized path for the directory in which the simulator is running.
    CurrentWorkingDirectory is the relative path to the directory of the script that is currently running.

    Currently NVC and GHDL do not run any extra scripts since they are batch
    simulators.

Viewing 2 posts - 1 through 2 (of 2 total)
  • You must be logged in to reply to this topic.