Which simulators supports OSVVM?

Why OSVVM™? Forums OSVVM Which simulators supports OSVVM?

Viewing 7 posts - 1 through 7 (of 7 total)
  • Author
    Posts
  • #1225

    I am currently struggling to modify the OSVVM source to compile and run in XSim (Xilinx Vivado 2016.x), but alas – it would seem Xilinx is still way behind on VHDL-2008 support in their simulator (although their product briefs says otherwise).

    I would be nice if the “known good” simulators (e.g. the ones people have confirmed to be working with a given release of OSVVM) were listed somewhere – perhaps in the README.md file or on the website? The same applies to the opposite situation; listing the simulators known NOT to work would definately be nice as well.

    OSVVM looks like it would solve many of my current testbench-related frustrations, except I have no way of using it with my current simulator…

    #1226
    Jim Lewis
    Member

    I test OSVVM  using Aldec (RivieraPRO and ActiveHDL) and Mentor (QuestaSim).   I  have used GHDL on past releases.   Since QuestaSim works I would expect Mentor ModelSim to work as well.

    I have tested Cadence tools via another user and came up with only frustration,

    #1227
    Jim Lewis
    Member

    The big feature that OSVVM uses is protected types.  

    Protected types are not a VHDL-2008 feature.   They are older.  VHDL-2000 / VHDL-2002.  

    Hence, from a marketing perspective, they may still claim VHDL-2008 support – meaning that they support the new stuff even while they do not support the older VHDL-2002 features. 

    Be sure to file bug reports, complain in Xilinx forums, and to your Xilinx Sales and Applications people.   It is particularly important to tell them that the tools that Altera/Intel use do support OSVVM.

    #1229

    Thank you for your replies. I am looking into GHDL now.

    Xilinx claims to support VHDL-2008, but elaboration fails on simple stuff (e.g. to_string() and maximum()) with an error saying, they do not support the given construct for simulation yet:

    ERROR: [XSIM 43-4187] File “/home/brix/Projects/github/OSVVM/AlertLogPkg.vhd” Line 499 : The “Vhdl 2008 Predefined Function MAXIMUM” is not supported yet for simulation.

    #1248

    Hello Henrik,

    please verify if xSim created the correct *.prj file for xelab. Normal VHDL files are listed with the command vhdl, VHDL-2008 files with “vhdl2008”.

    Kind regards

        Patrick

    #1249

    I already verified that. I have ditched Xsim in favor of GHDL.

    #1250

    OK.

    I’ll update GHDL’s OSVVM pre-compile scripts in the next days. Stay tuned for the new release 2016.11 🙂

Viewing 7 posts - 1 through 7 (of 7 total)
  • You must be logged in to reply to this topic.