Ashok
-
Ashok replied to the topic How does genbin work? in the forum OSVVM 2 years, 9 months ago
Jim, Thanks very much. Wasn’t aware of all the documents available. Going through the documents to get better understanding.
-
Ashok started the topic How does genbin work? in the forum OSVVM 2 years, 9 months ago
Brand new to OSVVM. Familiar with SystemVerilog functional coverage language.
Here’s a sample code. Question follows the code.
library OSVVM;
use OSVVM.CoveragePkg.all;architecture
signal op_code : std_logic_vector(2 downto 0);
signal mode : std_logic_vector(1 downto 0);
…
shared variable cp_opcode: CovPType;
shared variable…[Read more] -
Ashok became a registered member 3 years ago