Activity
-
Jim Lewis replied to the topic Synopsys VCS-MX in the forum OSVVM 4 years, 4 months ago
Hi Tim,
Ouch. Did you report the tool bug to Synopsys? Did they respond?I would have to think about a work around. Maybe if generics were
added to the package to constrain the size of DataToModel, DataFromModel,
ParamToModel, and ParamFromModel – and they were sized to match the largest
item in the system, it should be ok – maybe after…[Read more] -
Antonio Bergnoli replied to the topic Synopsys VCS-MX in the forum OSVVM 4 years, 4 months ago
And what about OSVVM-common?
i ‘m fighting with vcs for this error:Error-[VHDL08-IMPL-SUBTYPE] VHDL-2008 Usage Not Supported
./src/StreamTransactionPkg.vhd, 198
STREAMTRANSACTIONPKGsignal TransactionRec : inout StreamRecType
^
Use of unbounded type or base type is not supported in this…[Read more] -
Guy became a registered member 4 years, 4 months ago
-
Nicolas became a registered member 4 years, 4 months ago
-
Jim Lewis wrote a new post 4 years, 4 months ago
VHDL-93 (IEEE 1076-1993) created shared variables of an ordinary type as a temporary solution – which was noted in the standard document (aka LRM). VHDL-2000 (IEEE 1076-2000) created protected types as the onl […]
-
Martin became a registered member 4 years, 4 months ago
-
Kamna became a registered member 4 years, 4 months ago
-
Koray became a registered member 4 years, 4 months ago
-
Mathew became a registered member 4 years, 4 months ago
-
Marine became a registered member 4 years, 5 months ago
-
Ingo became a registered member 4 years, 5 months ago
-
Long became a registered member 4 years, 5 months ago
-
Sabrina became a registered member 4 years, 5 months ago
-
Miles became a registered member 4 years, 5 months ago
-
Jim Lewis wrote a new post 4 years, 5 months ago
Verification components have become an essential part of a structured VHDL environment. In OSVVM we implement verification components as an entity and architecture. This provides RTL engineers with a fam […]
-
Jim Lewis replied to the topic OSVVM and Cadence Xcelium in the forum OSVVM 4 years, 5 months ago
Hi Steve,
What we find is that users have more influence over vendors than I do. To be fair to them though, OSVVM has had numerous updates through COVID. One benefit of teaching on-line and not traveling is that I have had more time to work on OSVVM.One of my goals is to get the OSVVM compile scripts working under Cadence Xcelium. If you…[Read more]
-
Arminmt became a registered member 4 years, 5 months ago
-
Terje became a registered member 4 years, 5 months ago
-
Gert Baumfacher became a registered member 4 years, 5 months ago
-
Steve started the topic OSVVM and Cadence Xcelium in the forum OSVVM 4 years, 5 months ago
Hi everyone,
It is my understanding that OSVVM release 2018.4 is pre-packaged with Cadence Xcelium version 20.03. Does anyone know if/when newer/latest release(s) will be pre-packaged with Xcelium?
Thanks!
- Load More