Jim Lewis
-
Jim Lewis replied to the topic AXI Verification Components, OSVVM vs UVVM in the forum OSVVM 1 year, 5 months ago
> 1. Do the OSVVM VC for these AMBA busses support all parts of the specification for these busses?
That is certainly the intent.> 2. What is the difference between the OSVVM VC for these busses and the ones from UVVM?
I will only talk about the OSVVM VC as I don’t pay attention to the UVVM VC.All OSVVM VC use the OSVVM Model Independent…[Read more]
-
Jim Lewis replied to the topic OSVVM Questa Visualizer Support in the forum OSVVM 1 year, 5 months ago
Hi Jake,
Are you running on Linux or Windows? Currently I am testing on Windows. My contact at Siemens says there are some issues with running OSVVM in Visualizer in 2024.01. As a result, I had not put the effort into running it just yet. However I have not heard from him about 2024.03. I will check.Best Regards,
Jim -
Jim Lewis replied to the topic Which Transactor to use Stream or Address in the forum OSVVM 1 year, 5 months ago
What does your interface look like? Are there any control signals? When do you receive a result – immediately or several clocks later? What operations does it support? What is the set of values you intend to apply to your ALU to verify it?
-
Jim Lewis replied to the topic At the end of the simulation, AlertLogPkg.vhd must open. causes error message. in the forum OSVVM 1 year, 6 months ago
2024.03a addresses this issue – specifically when running interactively, EndSimulation will not be called.
-
Jim Lewis replied to the topic At the end of the simulation, AlertLogPkg.vhd must open. causes error message. in the forum OSVVM 1 year, 6 months ago
Hi Jeremy
Currently OSVVM is doing quit -sim when a simulation ends in error. This unfortunately is necessary to close the transcript file.A change is coming to not do this when running interactive
Jim
-
Jim Lewis replied to the topic AxiStreamReceiver: Multiple Drivers on Transaction Record. in the forum OSVVM 1 year, 6 months ago
Hi Mahmoud,
Please see test case OsvvmLibraries/AXI4/Axi4/TestCases/TbAxi4_ReleaseAcquireManager1.vhdBest Regards,
Jim -
Jim Lewis wrote a new post 1 year, 6 months ago
OSVVM 2024.03 Release
OSVVM 2024.03 updates can be summarized as: Settings/Configuration Updates Xilinx Update OSVVM Issues Resolved Added […] -
Jim Lewis replied to the topic Alert ERROR in Default in the forum OSVVM 1 year, 7 months ago
For the next release of OSVVM, I have added an AlertLogID input to SafeResize and all of the OSVVM VC specify the ID on the call to SafeResize. I also updated the error message to be of the following form:
Alert ERROR in AxiManager_1, SafeResize: value changed on resize. Original value: 01AF, Resized value: AFHopefully this will…[Read more]
-
Jim Lewis replied to the topic case splitting in the forum OSVVM 1 year, 7 months ago
Step 1: If you have complex clock domain crossings, you probably need a clock domain crossing tool. Especially to find anything that might loop back.
Step 2: Use your directed cases to explore the relationships you understand.
Step 3: Add jitter to your clock (or clocks depending on the number of clock domains you have) so that your…[Read more] -
Jim Lewis replied to the topic Alert ERROR in Default in the forum OSVVM 1 year, 7 months ago
Hi Joseph,
This is coming from the call to SafeResize that is inside of OSVVM verification components. What it is telling you is that the testbench put a value with more one’s on the left hand side of it in the call to a transaction, such as Write, Read, or Send, than is used by the verification component.The error will happen when you call…[Read more]
-
Jim Lewis replied to the topic Questa Verilog/SystemVerilog Files in the forum OSVVM 1 year, 8 months ago
Hi Jake,
Just checked the Questa command reference manual. Good catch. It is an easy fix.
In the file, VendorScripts_Siemens.tcl, all of the specialization is done. In there
the analyze for Verilog/SystemVerilog is:
`tcl
proc vendor_analyze_verilog {LibraryName FileName args} {
set AnalyzeOptions [concat [CreateVerilogLibraryParams “-l…[Read more] -
Jim Lewis replied to the topic OSVVM Questa Visualizer Support in the forum OSVVM 1 year, 9 months ago
Hi Jake,
This is something that one of the Siemens AEs has talked to me about and has worked on. I will have to see if he has something ready to submit for OSVVM. The plan is that Visualizer will simply use a separate VendorScripts_Visualizer.tcl. With that it should be fairly easy to do whatever visualizer needs done as all the hooks are…[Read more] -
Jim Lewis wrote a new post 1 year, 9 months ago
AMD XSIM 2023.02: On the Road to OSVVMQuite some time ago I tried out AMD/Xilinx XSIM in OSVVM. Unfortunately at the time, it was like the Talking Heads song – “We’re on a road to no […]
-
Jim Lewis wrote a new post 1 year, 10 months ago
Happy New Year 2024 from SynthWorks and OSVVM
2023 brought lots of changes. I started traveling again (a little bit). This was my first travels since the start of COVID. I presented […] -
Jim Lewis replied to the topic Scripting dual-language testbench (VHDL / Verilog) in the forum OSVVM 1 year, 10 months ago
Hi Brad,
I have had on my todo list to come back to look at this example in further detail – I have just had a very busy year end for 2023.Since I don’t have a copy of your testbench, we have only been able to talk in generalities. However, I think I see the issue in your statement here:
> When analyzing that TB file, since the generic has…[Read more]
-
Jim Lewis replied to the topic LinkLibraryDirectory issues in the forum OSVVM 1 year, 11 months ago
Hi Lukas,
Do you have the output of LinkLibraryDirectory? If it is working ok, it should be something like the following:LinkLibraryDirectory C:/tools/VHDL_LIBS/QuestaSim-2022.01[Read more]
# set CurrentSimulationDirectory C:/tools/sim/questa
# vmap defaultlib C:/tools/VHDL_LIBS/QuestaSim-2022.01/defaultlib
# vmap osvvm… -
Jim Lewis replied to the topic Compiling with Xcelium in the forum OSVVM 1 year, 12 months ago
If you look at the OSVVM *.pro compile scripts you will note there are a number of places where we do not compile certain things – because of a bug in a particular tool.
Note these ports are not
OPEN. Instead they have a null range, which is a valid range. Please submit a bug against Xcelium for this issue. -
Jim Lewis replied to the topic building issue for modelsim pe 2022.4 in the forum OSVVM 2 years ago
Hi Rishi,
The issue with 10.5b looks like a bug in that version of the tool. I don’t test with versions that old.Best Regards,
Jim -
Jim Lewis replied to the topic building issue for modelsim pe 2022.4 in the forum OSVVM 2 years ago
Hi Rishi,
The issue with 2022.4 (quarter or release in 2022 not sure) / 2022.10 (10 probably is month) that says:# ** Error: vhdl_src/std/standard.vhd: (vcom-1576) expecting IDENTIFIER or BODY.
Is a tool install issue. OSVVM does not analyze (compile) the standard package.
Best Regards,
Jim -
Jim Lewis wrote a new post 2 years ago
OSVVM 2023.09a Release
The 2023.09a release adds: Scripts: build/include now support Early detection of file or path not found and better error messages. […] - Load More