Jim Lewis
-
Jim Lewis started the topic QuestaSim SEGV Fatal fixed in 2020.12a in the forum OSVVM 4 years, 1 month ago
Testing for OSVVM 2020.12 release was done on RivieraPro 2020.10 and ModelSim 2020.01. Unfortunately I did not test with QuestaSim 2020.04 (my licenses are for a machine that I just finished setting up today).
There appears to be a subtle bug in QuestaSim that causes a SEGV Fatal when doing Burst Transfers (such as TbAxi4_MemoryBurst1). I…[Read more]
-
Jim Lewis wrote a new post 4 years, 1 month ago
2020 was quite a year.
While everything else was quite dark, OSVVM had a great 2020. Normally I travel for work around 75K air miles. 2020 none. This saved a lot of time. All of that time (and more) […]
-
Jim Lewis replied to the topic Synopsys VCS-MX in the forum OSVVM 4 years, 2 months ago
Hi Tim,
Ouch. Did you report the tool bug to Synopsys? Did they respond?I would have to think about a work around. Maybe if generics were
added to the package to constrain the size of DataToModel, DataFromModel,
ParamToModel, and ParamFromModel – and they were sized to match the largest
item in the system, it should be ok – maybe after…[Read more] -
Jim Lewis wrote a new post 4 years, 2 months ago
VHDL-93 (IEEE 1076-1993) created shared variables of an ordinary type as a temporary solution – which was noted in the standard document (aka LRM). VHDL-2000 (IEEE 1076-2000) created protected types as the onl […]
-
Jim Lewis wrote a new post 4 years, 2 months ago
Verification components have become an essential part of a structured VHDL environment. In OSVVM we implement verification components as an entity and architecture. This provides RTL engineers with a fam […]
-
Jim Lewis replied to the topic OSVVM and Cadence Xcelium in the forum OSVVM 4 years, 2 months ago
Hi Steve,
What we find is that users have more influence over vendors than I do. To be fair to them though, OSVVM has had numerous updates through COVID. One benefit of teaching on-line and not traveling is that I have had more time to work on OSVVM.One of my goals is to get the OSVVM compile scripts working under Cadence Xcelium. If you…[Read more]
-
Jim Lewis wrote a new post 4 years, 2 months ago
The OSVVM 2020.10 release is finally out.
Why 2020.10?
Yes I realize it is now late November. So why 2020.10? In late October, the code was done and marked as 2020.10 with the expectation that the […]-
One of the main things what OSVVM models differentiate from other available solutions is the extensive documentation. I like that very much.
I remember closed source models which only have very little documentation and second, were written very poor. I used an FPGA-vendors eMMC model some years ago which was created in addition to the MMC controller unit we use. The model was only very basic and without doc, only the controller had some basic docs. After digging through the (Verilog) code I added some fundamental things like an associative array to allow data write/read checks coverage and assertions. Even with my little knowledge of (System) Verilog I was able to do that better.
-
-
Jim Lewis replied to the topic OSVVM 2020.08 New user experience in the forum OSVVM 4 years, 3 months ago
Hi Richard,
I have been thinking about package that would have some global signaling events – such as
signal TestDone : integer_barrier;
signal ResetDone : integer_barrier;
We could add something like:
signal TestErrorCount : integer ;
The idea is that if all tests had access to something like this, then it could be picked up automatically by…[Read more]
-
Jim Lewis replied to the topic What do you use for test suite orchestration? in the forum OSVVM 4 years, 3 months ago
Hi Richard,
This is definitely in scope of a good discussion.In 2020.08, OSVVM upgraded our scripting. Currently everything is TCL based, but the intent is to also have a BASH based executor of the scripting environment. One of the goals of the current approach is to create a simulation execution environment that is tool independent – ie:…[Read more]
-
Jim Lewis replied to the topic Synopsys VCS-MX in the forum OSVVM 4 years, 4 months ago
Your Script has a couple of things out of order. Specifically
VendorCovApiPkg.vhd must be compiled before CoveragePkg.vhd,
OsvvmContext.vhd must be compiled last (or almost last),
RandomPkg.vhd must be compiled before CoveragePkg.vhd,
ScoreboardGenericPkg.vhd must be compiled before ScoreboardPkg_int.vhd and ScoreboardPkg_slv.vhd.Our compile…[Read more]
-
Jim Lewis replied to the topic Synopsys VCS-MX in the forum OSVVM 4 years, 4 months ago
Hi Tim,
Sorry I missed your additional discussion.This warning is ok. The code is legal VHDL code. It would be more obvious if they would print the message as:
Warning: NULL_RANGE_TYPE has a null range, Range 0 downto 1
Null ranges are legal. Often people end with them unintentionally, so the vendors decided to issue a warning.…[Read more]
-
Jim Lewis replied to the topic Synopsys VCS-MX in the forum OSVVM 4 years, 4 months ago
Hi Tim,
> Is OSVVM supported by the latest Synopsys VCS-MX?
It is my understanding that it is, however, I have not done any testing with it – yet. Hopefully toward the end of the year, that will be changing.> I didn’t notice any scripts in the OsvvmLibaries/Scripts repository.
It is on my todo list. Does VCS-MX have a tcl interface or do y…[Read more] -
Jim Lewis replied to the topic OSVVM 2020.08 New user experience in the forum OSVVM 4 years, 5 months ago
#2 Did you run the testbench examples before modifying them? The test TbAxi4_MemoryBurst (which runs when you do
build ../OsvvmLibraries/AXI4/Axi4/testbench
) does bursts. The first burst in the test is 3 32 bit words – IE 12 bytes and the address is word aligned.What is 1 wider? Did you mean 64 bits? AXI data supports a power of 2…[Read more]
-
Jim Lewis replied to the topic OSVVM 2020.08 New user experience in the forum OSVVM 4 years, 5 months ago
#1 I use “run all” to run my tests. std.env.stop will stop the test. However, stop works much like a breakpoint, so the simulation can be continued. I am ok with this.
If you wanted to prohibit the testbench from running further after it stops, use std.env.finish instead. It also allows an integer parameter, so you can call it…[Read more]
-
Jim Lewis replied to the topic OSVVM 2020.08 New user experience in the forum OSVVM 4 years, 5 months ago
Hi Chengshan,
>1. Could the test bench be stopped gracefully by stopping all clocks?
Do you have a method to gracefully stop clocks? Everything I have seen
has overhead incurred at least once per clock cycle (or some multiple of
the clock cycle).OTOH, I really like std.env.stop. It only incurs overhead when
it is actually stopping the…[Read more] -
Jim Lewis replied to the topic OSVVM 2020.08 New user experience in the forum OSVVM 4 years, 5 months ago
Hi Chengshan,
3. Correct. The 2020.08 Axi4Responder_Transactor.vhd is intended to be a register access model and does not support bursting. As you noted, Axi4Memory.vhd, implements memory models and does support bursting. This is noted in the README.md at: https://github.com/osvvm/AXI4.
Do you need a transactor that supports bursting? It…[Read more]
-
Jim Lewis replied to the topic OSVVM 2020.08 New user experience in the forum OSVVM 4 years, 5 months ago
Hi Chengshan,
2. The test sequencer interface to AXI verification component burst FIFO is 8 bits. The AXI verification component assembling this into the size of the data bus. So you will always push bytes into the FIFO – even if you make the data bus bigger or smaller. It was tested with a 32 bit AXI data bus, however, it is intended to…[Read more]
-
Jim Lewis replied to the topic OSVVM 2020.08 New user experience in the forum OSVVM 4 years, 5 months ago
Hi Chengshan,
4. Typo! You are correct, AxRegion should be 4 bits. I will fix that
in the next release. Anything else like that is an issue?What do you mean you had to change the width to make it compile? Is this to
connect it to your design? It compiles and runs fine with the OSVVM models
which all use the same incorrectly sized…[Read more] -
Jim Lewis replied to the topic OSVVM 2020.08 New user experience in the forum OSVVM 4 years, 5 months ago
Hi Chengshan,
First, thanks for the feedback.Are you using this with a particular design?
You have four questions, so I will give 4 separate answers.
Best Regards,
Jim -
Jim Lewis wrote a new post 4 years, 5 months ago
OSVVM Model Independent Transactions
I take great joy in reuse – this is true whether it is a plastic bag or VHDL code. OSVVM Model Independent Transactions were added in the 2020.07 release and are di […] - Load More